声振论坛

 找回密码
 我要加入

QQ登录

只需一步,快速开始

查看: 2431|回复: 3

求助怎么样产生三角波

[复制链接]
发表于 2006-10-11 22:18 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?我要加入

x
怎么样才能产生一个三角波???好像repeating sequence 这个模块可以,但不知道怎么设置?请教高手!
回复
分享到:

使用道具 举报

发表于 2006-10-12 10:47 | 显示全部楼层

11

用一个方波发生器产生方波,然后用积分器积分一次就可以拿

评分

1

查看全部评分

发表于 2006-10-13 19:25 | 显示全部楼层
采用Signal Generator模块
参数:wave form:sawtooth
amplitude:信号幅度
frequency:信号频率
unit:信号单位默认HZ
发表于 2006-10-13 23:35 | 显示全部楼层
repeating sequence 模块的设置,一个是对应于时间轴,一个是对应于时间轴的输出,具体应该根据你要求的三角波形设置,可以参考帮助文档,那里有比较详细地说明
您需要登录后才可以回帖 登录 | 我要加入

本版积分规则

QQ|小黑屋|Archiver|手机版|联系我们|声振论坛

GMT+8, 2024-9-21 15:53 , Processed in 0.052309 second(s), 18 queries , Gzip On.

Powered by Discuz! X3.4

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表